News

Both the GF and GL versions of the HKMG process support a standard “G” style logic optimized flow, an “LP” low-power optimized flow and an “HP” high-performance optimized flow. As the reduced process ...
The silicon-proven design flow integrates the GloFo 28nm-SLP design rules with Synopsys’ latest EDA technologies, including PrimeTime advanced on-chip variation analysis, IC Compiler’s DFM-optimized ...
But even moving to 28nm by itself doesn’t solve the problem.” The transceivers won’t be the issue. Schirrmeister says Altera’s 28nm chips will offer 28 Gb transceivers—and enough of them to support ...
Ciranova Helix selected for TSMC analog mixed signal reference flow 2.0, LDE aware automatic placement at 28nm CMOS Contacts Ciranova, Inc. Dave Millman, +1-408-553-6083 [email protected] ...
ATopTech’s Aprisa Physical Design Solution Included in TSMC Reference Flow 12.0 for 28nm Designs Timing, reliability and low power enhancements made to place-and-route engine expands TSMC’s ...
In Reference Flow 10.0, TSMC goes beyond physical verification of DRC, LVS and extraction, which heavily depend upon 28nm process requirements, and engages early with EDA partners to qualify their ...
Semiconductor Manufacturing International (SMIC) and Synopsys have announced immediate availability of their joint 28nm RTL-to-GDSII reference design flow. Developed through deep engineering ...
Taiwan Semiconductor Manufacturing Company (TSMC) has unveiled its Reference Flow 10.0 for the 28nm process technology, delivering innovations to enable system-in-package (SiP) design.